350 руб
Журнал «Системы высокой доступности» №2 за 2024 г.
Статья в номере:
Новая концепция ПЛИС с выбором режима работы и двухрежимный базисный логический элемент
Тип статьи: научная статья
DOI: 10.18127/j20729472-202402-04
УДК: 618.32
Авторы:

И.А. Соколов1, С.Ф. Тюрин2, Ю.А. Степченков3, Ю.Г. Дьяченко4, М.С. Никитин5, С.И. Советов6

1,3,4 ФИЦ «Информатика и управление» РАН (Москва, Россия)
2,5,6 Пермский национальный исследовательский политехнический университет (г. Пермь, Россия)
1 ISokolov@ipiran.ru, 2 tyurinsergfeo@yandex.ru, 3 YStepchenkov@ipiran.ru,
4 diaura@mail.ru, 5 mann1k@yandex.ru, 6 fizikoz@gmail.com

Аннотация:

Постановка проблемы. Как правило, программируемые логические интегральные схемы (ПЛИС, FPGA) используют тактовый генератор, частота которого рассчитывается исходя из самого худшего случая реализации переходного процесса. Асинхронные схемы работают по реальным задержкам переходных процессов, но их проектирование гораздо сложнее. Самосинхронные (СС) схемы, предложенные Д. Маллером в конце 50-х г. ХХ в., являются одним из вариантов асинхронных схем и имеют встроенные средства подтверждения завершения переходного процесса. Благодаря этому свойству, СС-схемы характеризуются широким диапазоном работоспособности по напряжению питания и температуре окружающей среды и обеспечивают надежное функционирование при любых задержках используемых логических элементов, определяемых текущими условиями эксплуатации. В настоящее время отсутствуют коммерчески доступные СС-ПЛИС. А многочисленные попытки использования традиционных синхронных ПЛИС и средств их проектирования для создания самосинхронных прототипов не позволили реализовать в полной мере их потенциальные преимущества. Настоящая статья направлена на повышение эффективности реализации СС-ПЛИС.

Цель. Сформулировать концепцию нового типа ПЛИС – с выбором режима работы, уточнение структуры предлагаемого логического элемента и способов его реконфигурации на заданный режим работы и особенности каскадирования элементов такого типа для построения многоразрядных логических элементов.

Результаты. Предложена концепция нового типа ПЛИС повышенной гибкости – с выбором режима работы, что позволит разработчикам с помощью систем автоматизированного проектирования выбирать не только функции и связи, но и строить различные по режиму работы варианты цифровых схем: как синхронный, так и самосинхронный или их комбинацию. Также уточняется схема двухрежимного логического элемента, детали его реконфигурации и вопросы масштабирования для увеличения разрядности.

Практическая значимость. Использование на практике предложенного двухрежимного базисного логического элемента позволит увеличить гибкость проектов цифровой аппаратуры на ПЛИС, что особенно важно для критических областей применения на современном этапе развития интегральной технологии в РФ.

Страницы: 56-64
Для цитирования

Соколов И.А., Тюрин С.Ф., Степченков Ю.А., Дьяченко Ю.Г., Никитин М.С., Советов С.И. Новая концепция ПЛИС с выбором режима работы и двухрежимный базисный логический элемент // Системы высокой доступности. 2024. Т. 20. № 2. С. 56−64. DOI: https://doi.org/10.18127/j20729472-202402-04

Список источников
  1. Muller D.E., Bartky W.S. A theory of asynchronous circuits. On the Theory of Switching. Part 1. Harvard: University Press. 1959. P. 204–243.
  2. Апериодические автоматы / Под ред. В.И. Варшавского. М.: Наука. 1976. С. 304.
  3. Marakhovsky V.B., Surkov A.V. Globally asynchronous system of interactive Moore state machines. IET Computers and Digital Techniques. 2016. V. 10. Is. 4. P. 186–192.
  4. Skornyakova A.Yu (Plotnikova A.Yu.) Fault-Tolerant Self-Timed Indicator / Proceedings of the 2016 IEEE North West Russia Section Young Researchers in Electrical and Electronic Engineering Conference, EIConRusNW 2016. 2016. P. 308–312. DOI: 10.1109/EIConRusNW.2016.7448181.
  5. Skornyakova A.Yu., Vikhorev R.V. Self-Timed LUT Layout Simulation. Conference of Russian Young Researchers in Electrical and Electronic Engineering. IEEE. 2020. P. 176–179. DOI: 10.1109/EIConRus49466.2020.9039374.
  6. Иванова К.М., Скорнякова А.Ю. Алгоритм оптимизации комплекта конфигурируемых строго самосинхронных генераторов логических функций для заданных параметров систем функций / Наноиндустрия. 2020. Т. 13. № S4(99). С. 334–336. DOI: 10.22184/1993-8578.2020.13.4s.334.336.
  7. Строгонов А., Цыбин С. Программируемая коммутация ПЛИС: взгляд изнутри [Электронный ресурс]. URL: http://www.kit-e.ru/articles/plis/2010_11_56.php (дата обращения: 19.06.2024).
  8. Patent US № 4870302 XILINX INC. Ross Freeman. Configurable electrical circuit having configurable logic elements and configurable interconnects. Feb 19. 1988.
  9. Vikhorev R. Universal logic cells to implement systems functions. Conference of Russian Young Researchers in Electrical and Electronic Engineering. IEEE. 2016. P. 404–406. DOI: 10.1109/EIConRusNW.2016.7448197.
  10. Vikhorev R. Improved FPGA logic elements and their simulation. Conference of Russian Young Researchers in Electrical and Electronic Engineering. IEEE. 2018. P. 275–280. DOI: 10.1109/EIConRus.2018.8317080.
  11. Speedster22i Configuration User Guide [Электронный ресурс]. URL: https://www.achronix.com/sites/default/files/docs/Speedster22i_ Configuration_User_Guide_UG033_v1.3.pdf (дата обращения: 19.06.2024).
  12. Отчет о НИР (промежуточный) «Концептуальные и методологические основы создания семейства потоковых самосинхронных процессоров и средств поддержки их проектирования». Кн. 2. № г.р. АААА-А19-119092390082-8. М.: ФИЦ ИУ РАН, 2020. 117 с.
  13. Патент № 2601145 (РФ) Программируемое логическое устройство / С.Ф. Тюрин, А.Н. Каменских, А.Ю. Плотникова. 2016.
  14. Патент №2805759 (РФ). Программируемое логическое устройство / С.Ф. Тюрин, А.Ю. Скорнякова, Ю.А. Степченков, Ю.Г. Дьяченко, М.С. Никитин. 2023.
  15. Иванова К.М., Тюрин С.Ф., Чикаренко С.К. Логический элемент FPGA, конфигурируемый на самосинхронный или синхронный режим // В сб.: Автоматизированные системы управления и информационные технологии. Материалы всерос. науч.-техн. конф.: В 2 т. Пермь. 2021. С. 185–191.
  16. Mehta N., An Ultra-Low-Energy, Variation-Tolerant FPGA Architecture using Component-Specific Mapping, Ph.D. Thesis, California Institute of Technology [Online]. URL: http://thesis.library.caltech.edu/7226/1/Nikil-Mehta-2013.pdf (accessed 19.06.2024).
  17. Сайт разработчика National Instruments. [Электронный ресурс]. – URL: http://www.ni.com/multisim/ (дата обращения: 19.06.2024).
  18. Microwind & Dsch Version 3.5. [Электронный ресурс]. URL: http://auto.teipir.gr/sites/default/files/microwind_manual_lite_ v35.pdf (дата обращения: 19.06.2024).
Дата поступления: 15.05.2024
Одобрена после рецензирования: 29.05.2024
Принята к публикации: 26.06.2024