350 руб
Журнал «Системы высокой доступности» №4 за 2015 г.
Статья в номере:
Методы повышения надежности комбинационных микроэлектронных схем на основе мультиинтервального анализа быстродействия
Авторы:
С.В. Гаврилов - д.т.н., профессор, зав. отделом автоматизации проектирования цифровых схем, Институт проблем проектирования в микроэлектронике РАН (Москва). E-mail: sergey_g @ippm.ru Г.А. Иванова - мл. науч. сотрудник, отдел автоматизации проектирования цифровых схем, Институт проблем проектирования в микроэлектронике РАН (Москва). E-mail: pirutina_g@ippm.ru Д.И. Рыжова - мл. науч. сотрудник, отдел автоматизации проектирования цифровых схем, Институт проблем проектирования в микроэлектронике РАН (Москва). E-mail: ryzhova_d@ippm.ru А.Л. Стемпковский - д.т.н., академик РАН, профессор, директор Института проблем проектирования в микроэлектронике РАН (Москва). E-mail: stal09@ippm.ru
Аннотация:
Отмечено, что с уменьшением технологических размеров транзисторов до нанометрового диапазона появляется ряд новых проблем повышения надежности микросхем, не решенных в существующих средствах автоматизации проектирования (САПР). Показано, что в ряде задач, таких как оценка максимального тока питания, определение интервалов переключения при анализе помехоустойчивости, характеризация и генерация макромоделей сложно-функциональных блоков (СФ-блок) требуется нахождение не только максимального и минимального значения, но всей совокупности интервалов возможных переключений, например, при анализе шумов необходима оценка пересечения по времени интервалов переключения узла агрессора и узла жертвы, а при анализе скачков напряжения и пикового тока требуется анализ одновременного переключения большого числа вентилей. В данной работе предложен метод анализа быстродействия СФ-блоков, обеспечивающий повышение точности анализа границ интервалов задержек на логическом уровне по сравнению с классическими методами статического временного анализа за счет совместного распространения вещественных интервалов задержек и булевой информации о векторах переключений, для которых эта задержка достижима.
Страницы: 69-76
Список источников

 

  1. Robert B.Hitchcock Sr. Timing Verification and the Timing Analysis program // Proceedings of the 19th conference on Design automation. January 1982. P. 594−604.
  2. Гаврилов С.В., Рыжова Д.И. Метод оценки пикового тока на логическом уровне с учетом одновременного переключения входов // Сб. научн. тр. VIВсерос. научно-технич. конф. «Проблемы разработки перспективных микро- и наноэлектронных систем 2014» / Под общей ред. А.Л. Стемпковского. М.: ИППМ РАН. 2014. С. 37−42.
  3. Гаврилов С.В., Рыжова Д.И., Стемпковский А.Л. Методы повышения точности оценки пикового тока на логическом уровне на основе анализа логических корреляций // Известия ЮФУ. Технические науки. 2014. № 7. С. 66−75.
  4. Гаврилов С.В., Рыжова Д.И., Стемпковский А.Л. Проблема анализа пикового тока при проектировании сверхбольших интегральных схем на логическом уровне и современные методы ее решения // Информационные технологии. 2014. № 6. С. 58−63.
  5. Glebov A., Gavrilov S., Blaauw D. False noise analysis using resolution method // ISQED 2002. P. 437−442.
  6. Гаврилов С.В., Глебов А.Л., Стемпковский А.Л. Методы логического и логико-временного анализа цифровых КМОП СБИС. M.: Наука. 2007. 220 c.
  7. Гаврилов С.В. Методы анализа логических корреляций для САПР цифровых КМОП СБИС. М.: Техносфера. 2011. 136 c.
  8. Gavrilov S.V., Gudkova O.N., Stempkovskiy A.L. The Analysis of the Performance of Nanometer IP-blocks Based on Interval Simulation // Russian Microelectronics. 2013. V. 42. № 7. P. 396−402.
  9. Гаврилов С.В., Пирютина Г.А., Щелоков А.Н. Метод интервальных оценок задержек и выходных фронтов библиотечных элементов нанометровых КМОП-схем // Известия ЮФУ. Технические науки. 2012. № 7 (132). С. 70−76.
  10. Шарый С.П. Конечномерный интервальный анализ. Институт вычислительных технологий СО РАН. 2010. 602 с.
  11. Brown F.M. Boolean reasoning. The logic of Boolean equations // Boston; Dordrecht; London: Kluwer Academic Publishers. 1990. 273 p.
  12. Kuo Y.M., Chang Y.L., and Chang S.C. Efficient Boolean Characteristic Function for Fast Timed ATPG // In Proc. InternationalConferenceonComputer-AidedDesign. 2006. P. 96−99.
  13. Bryant R.E. Graph-Based Algorithms for Boolean Function Manipulation // IEEE Transactions on Computers. 1986. V. 35. № 8. P. 677−691.
  14. Гаврилов С.В., Гудкова О.Н., Пирютина Г.А. Метод анализа быстродействия вентилей с учетом одновременного переключения входов // Сб. науч. трудов VВсерос. научно-технич. конф. «Проблемы разработки перспективных микро- и наноэлектронных систем 2012» / Под общей ред. А.Л. Стемпковского. М.: ИППМ РАН. 2012. С. 119−124.
  15. Brglez F. and Fujiwara H. A neutral netlist of 10 combinatorial benchmark circuits and a target translator in FORTRAN // In Proc. IEEEInt. Syrup. CircuitsandSystems. June 1985. P. 663−698.