350 руб
Журнал «Динамика сложных систем - XXI век» №3 за 2015 г.
Статья в номере:
Метод формирования тестовых программ в виде сети конечных автоматов для проведения тестирования аналого-цифровых интегральных схем
Авторы:
С.Г. Мосин - к.т.н., доцент, кафедра вычислительной техники, Владимирский государственный университет им. А.Г. и Н.Г. Столетовых. E-mail: smosin@vlsu.ru
Аннотация:
Предложен метод формирования тестовых программ в виде сети конечных автоматов, обеспечивающий выполнение тестов с различной разрешающей способностью при проведении тестирования аналого-цифровых интегральных схем (АЦИС) на автоматическом тестовом оборудовании. Определены две основные модели описания процесса иерархического тестирования АЦИС в виде сети автоматов. Представлена декомпозиция предложенного метода в виде набора базовых операций. Приведены результаты экспериментального исследования метода на аналого-цифровой интегральной схеме.
Страницы: 29-35
Список источников

 

  1. Верлань А.А., Положаенко С.А., Осман И.Х. Декомпозиционный метод локализации неисправных электронных подсхем // Электромашиностроение и электрооборудование. 2007. № 69. С. 72−76.
  2. Гришкин В.М., Лопаткин Г.С., Михайлов А.Н., Овсянников Д.А. Интерфейсный метод построения моделей входных воздействий для тестирования электронных цифровых модулей // Вопросы радиоэлектроники. 2013. Т. 1. № 1. С. 80−89.
  3. Матросова А.Ю., Митрофанов Е.В. Синтез легко тестируемых последовательностных схем // Вестник Томского государственного университета. Управление, вычислительная техника и информатика. 2013. № 2(23). С. 140−147.
  4. Матюшин Д.В., Курганский С.И. Моделирование системы конфигурирования и тестирования программируемой логической интегральной схемы // Системы управления и информационные технологии. 2011. Т. 46. № 4.1. С. 151−154.
  5. Скобцов Ю.А., Иванов Д.Е., Скобцов В.Ю. Генетический алгоритм построения функциональных тестов арифметико-логических устройств // Восточно-европейский журнал передовых технологий. 2014. Т. 2. № 9(68). С. 9−13.
  6. Mosin S.G. Design-for-testability automation of mixed-signal integrated circuits // Proc. IEEE 26thInternationalSOCConference (SOCC 2013). 2013. P. 244−249.
  7. Канжелев С.Ю., Шалыто А.А. Автоматическая генерация автоматного кода // Информационно управляющие системы. 2006. № 6. С. 35−42.
  8. Mosin S. A built-in self-test circuitry based on reconfiguration for analog and mixed-signal IC // Information Technology and Control. 2011. V. 40. № 3. P. 260−264.
  9. Мосин С.Г. Методика автоматизации тестопригодного проектирования аналоговых ИС по технологии OBIST // Сб. трудов «Проблемы разработки перспективных микро- и наноэлектронных систем» / Под общ. ред. акад. РАН А.Л. Стемпковского. М.: ИППМ РАН. 2014. Часть I. С. 95−100.